[Up: sub2 s3]
module sub3 (g,h);

input  g;
output h;

assign h = !g; // the end!

endmodule
























































This page: Maintained by: v2html730@burbleland.com
Created:Thu Jan 15 16:17:00 2009
From: testing/sig_down/verilog/down4.v

Verilog converted to html by v2html 7.30.1.3 (written by Costas Calamvokis).Help