module top (a,b);

output b;       // click in b

input  a;       // the end!

/*
 * 
 *
 */

wire c = a ; // click on a



wire d = ( c ^ 1'b1 ); // click on c


always @(posedge clk)
    begin
    b <= d; // click on d
    end

























assign d = c; // click on c



























































endmodule


This page: Maintained by: v2html730@burbleland.com
Created:Thu Jan 15 16:17:01 2009
From: testing/sig_logic/verilog/logic.v

Verilog converted to html by v2html 7.30.1.3 (written by Costas Calamvokis).Help