[Up: top s1]
module sub1 (c,d);

input  c;
output d;

sub2 s2(
    .e(c),
    .f(d));  // click on .f to go down

endmodule
























































This page: Maintained by: v2html730@burbleland.com
Created:Thu Jan 15 16:17:00 2009
From: testing/sig_down/verilog/down2.v

Verilog converted to html by v2html 7.30.1.3 (written by Costas Calamvokis).Help