What's new in 7.30.1.3

This is the same as 7.30, but which uses the newer RVP 7.61. I am rather embarrassed that I have not put this up sooner!

What's new in 7.30

New features: Support for language constructs I missed in 7.0: Bugs fixed

What's new in 7.0

The main new features are: Some of the bugs fixed (many thanks to the people that found these and emailed to tell me about them): RVP (verilog parsing module) changes:

What's new in 6.0

Major improvements are the signal popup window and much better support of Internet Explorer 4.0 and 5.0.

What's new in 5.6

The biggest changes are the new -exp option and the huge speed improvements. Here's a complete list:

What's new in 5.0

Here are the major changes:

What's new in 4.0

New features (a short list that hides a lot of code!): Bugs fixed:

What's new in 3.5

New features: Bugs fixed:

© 1999-2009 Costas Calamvokis