Running v2html

There are a lot of options to v2html but to get started you can just go to the directory where you want the html files to appear and type something like:
    v2html /path/to/your/verilog/files/*.v
v2html should then read all of your verilog files and generate html files which will have the same name as the verilog file but with a .html extension added. Once it is done open up the file called hierarchy.html and click away!

It is important that you convert all of the files in the design at the same time because otherwise v2html will not be able to do the linking.

For the best results you should give v2html the files in the same way you give them to your simulator. This can be done easily because v2html handles most of the options that can be passed to VCS/verilog so you can run it with the file you use for simulation:

    v2html -f filename
It should ignore the options that are not relevant and use the options that are (such as -y +libext +incdir +define etc.).

For information on all of the options see the v2html man page.

You might also want to take a look at the the script I use to generate the examples on this server which contains plenty of examples of v2html options.

Previous Next

© 1999-2009 Costas Calamvokis