v2html is a free perl script that converts verilog designs into webpages. Once converted the webpages can be opened directly in a browser (using File->Open), or placed on a webserver. The webpages contain:

A framed mode is also available, where the browser window is split into 3 frames, the top one showing the hierarchy, the middle one showing the verilog code and the bottom one showing the definitions of things you click on.

After conversion the verilog looks like this (each signal and define would be a link):  Example

  output seg_g;
  `ifdef THE_HARD_WAY
  wire [3:0] millennium;
  initial	// Print result
  	$display("Oscillator frequency is %d", `OSC_F);
  count_millennia m1 (.clk(clk), 
       .reset(reset), millennium) );
  `else
  reg seg_a;

To use v2html you really need to be using at least Netscape Navigator 4.7 or Internet Explorer 4.0 or later. For the best results use Netscape Navigator 6.1 or Internet Explorer 5.0 or later.

The verilog parser that v2html uses can also be used as a basis for your own perl scripts, see the RVP page for more details.

Next

© 1999-2009 Costas Calamvokis